¿Qué es la tecnología de patrones cuádruples auto-alineados (SAQP)?

March 28, 2024

últimas noticias de la compañía sobre ¿Qué es la tecnología de patrones cuádruples auto-alineados (SAQP)?

El multi-patterning es una técnica para superar las limitaciones litográficas en la fabricación de chips.El patrón múltiple permite a los fabricantes de chips obtener imágenes de diseños de circuitos integrados a 20 nanómetros o menos.

En términos generales, el multi-patterning tiene dos categorías principales: pitch splitting y spacers.Los espaciadores incluyen patrones dobles autoalineados (SADP) y patrones cuádruples autoalineados (SAQP)Tanto la división de tono como las técnicas de espaciador pueden extenderse a patrones octuplos.

últimas noticias de la compañía sobre ¿Qué es la tecnología de patrones cuádruples auto-alineados (SAQP)?  0

El primer tipo, la división de tono, se usa principalmente en lógica.el doble patrón se refiere casi siempre al proceso de separación de tono litó-grabada-litó-grabada-litó-grabada (LELE)En la fabricación de obleas, LELE requiere dos pasos de litografía y grabado independientes para definir una sola capa.LELE puede ser costoso ya que duplica los pasos del proceso en litografía.

Inicialmente, esta técnica separa los diseños que no se pueden imprimir con una sola exposición en dos máscaras de menor densidad.Esto forma dos patrones más ásperosSe combinan y se superponen para permitir una imagen más fina en la oblea.

LELE (es decir, doble patrón) plantea nuevos requisitos de diseño, verificación física y depuración para los diseñadores.Los colores se asignan a las capas de máscara en función de los requisitos de espaciadoLas capas de máscara se segmentan o se descomponen en dos nuevas capas del diseño original dibujado.

Una decisión clave en la metodología es si los diseñadores quieren seguir un flujo de diseño "sin color".seleccionando entre varias opciones de descomposiciónPor supuesto, cualquier flujo de diseño requiere compensaciones.

En el nodo de 20 nanómetros, las fundiciones están empleando varios flujos diferentes de diseño de patrones dobles.Uno de los flujos más comunes en realidad no requiere que el equipo de diseño para descomponer sus capas en dos coloresSin embargo, en ciertos casos, los diseñadores pueden querer saber cuál es la asignación de color.

Mientras tanto, en el nodo de 10 nm, los fabricantes de chips pueden necesitar recurrir a otra técnica de división de tono “triple patterning”.LELELE es similar a LELEEn la fabricación de obleas, LELELE requiere tres pasos de litografía y grabado independientes para definir una sola capa.

En el diseño, el patrón triple requiere descomponer la capa original en tres máscaras. Las formas de las tres máscaras se combinan durante la fabricación para formar la forma final.El patrón triple puede parecer inofensivo desde el exteriorLa construcción de algoritmos de software EDA para descomponer, colorear y verificar automáticamente capas con patrones triples es un desafío.Las violaciones del patrón triple pueden ser muy complejas., y la depuración puede ser difícil.

Mientras tanto, los espaciadores son la segunda categoría principal de patrones múltiples.SADP/SAQP se utilizó anteriormente para extender NAND flash al nodo 1xnm y ahora está entrando en el campo lógico.

SADP es una forma de doble patrón, a veces llamado división de tono o doble patrón asistido por lateral.El proceso SADP utiliza un paso de litografía junto con pasos adicionales de deposición y grabado para definir características similares a los espaciadoresEn el proceso SADP, el primer paso es formar mandrillas en el sustrato. Luego, una capa de deposición cubre el patrón. La capa de deposición se graba, formando espaciadores. Finalmente, la capa de deposición se coloca en el substrato, y la capa de deposición se coloca en el substrato.la parte superior se somete a las etapas de pulido mecánico químico (CMP).

SAQP es esencialmente dos ciclos de la tecnología de patrón doble del espaciador lateral.las líneas paralelas se forman primeroMientras tanto, las capas metálicas en la DRAM y los chips lógicos son más complejas y no se pueden lograr a través de SADP/SAQP. Estas capas metálicas requieren LELE.La flexibilidad de diseño de SADP/SAQP es también inferior a la de LELE, mientras que las tecnologías de tipo LELE requieren patrones vía.

SAQP es la abreviatura de patrón cuadruple auto-alineado.

De acuerdo con la información disponible, el patrón cuadruplo autoalineado (SAQP) es la técnica más utilizada para patrones con tonos menores de 38 nm,se espera que alcancen tonos de hasta 19 nmEn esencia, integra múltiples pasos de proceso y se ha empleado en el patrón de las aletas FinFET y 1X DRAM.permitir que las líneas inicialmente dibujadas a 80 nm de distancia resulten en líneas espaciadas a 20 nm de distancia (logrando efectivamente una resolución de 10 nm)Esto es significativo ya que supera con creces la resolución de cualquier herramienta de litografía de producción en masa, incluida la EUV (que alcanza una resolución de 13 nm).

últimas noticias de la compañía sobre ¿Qué es la tecnología de patrones cuádruples auto-alineados (SAQP)?  1

El proceso divide naturalmente las características en tres grupos: núcleo, caparazón y límite (ver Figura 2).el límite forma una cuadrícula que también necesita ser segmentadaPor lo tanto, el proceso SAQP debe concluir con un paso de litografía, que corta o recorta las características de la cáscara y el límite definidos previamente.núcleo y límite.

últimas noticias de la compañía sobre ¿Qué es la tecnología de patrones cuádruples auto-alineados (SAQP)?  2

En otra variante del flujo de proceso SAQP (véase la figura 3), las características de la cáscara son en realidad el primer material de separación restante, mientras que el núcleo y el límite son materiales diferentes,el sustrato o el material de relleno de huecosPor lo tanto, se representan con diferentes colores en la Figura 2. El hecho de que sean materiales diferentes implica que pueden ser grabados selectivamente.Esto ofrece oportunidades para lograr algunos patrones desafiantes.

últimas noticias de la compañía sobre ¿Qué es la tecnología de patrones cuádruples auto-alineados (SAQP)?  3

Una aplicación particularmente útil es la combinación de características de tono mínimo y de tono mínimo 2x. Esta combinación generalmente está prohibida en exposiciones únicas con k1 < 0.5Una combinación particularmente desalentadora son las líneas de tono mínimo con 2x interrupciones de tono mínimo (ver Figura 4, izquierda).El patrón de difracción de las interrupciones es mucho más débil en comparación con la de las propias líneas porque ocupan un área mucho más pequeñaSu rendimiento también se deteriora mucho más rápido bajo desenfoque.Por otro lado,, mediante el grabado selectivo, las características de la máscara pueden pasar a través de las líneas intermedias (véase la figura 4, derecha).Esto simplifica en gran medida el corte y evita posibles errores de colocación de los bordes que pueden ocurrir cuando se corta por separado en dos lugares.

últimas noticias de la compañía sobre ¿Qué es la tecnología de patrones cuádruples auto-alineados (SAQP)?  4

Para el grabado selectivo, son necesarias tres máscaras: una para definir las regiones A/B separadas, la segunda máscara para el grabado selectivo A y la tercera máscara para el grabado selectivo B.El grabado selectivo (combinado con SAQP) también permite mayores tolerancias de superposición y un número mínimo de máscaras., lo que permite la combinación de un tono mínimo de línea y interrupciones al doble del tono mínimo de línea, lo que facilita el manejo de patrones múltiples.

En resumen, todos los procesos de multipatrono autoalineados incluyen los siguientes pasos:

  1. Imprimir las huellas de mandril.
  2. Crecen paredes laterales en los patrones de mandril impresos.
  3. Quitando los patrones de mandril.
  4. Desarrollando los patrones finales entre las paredes laterales.
  5. Añadir bloques dieléctricos para lograr el espaciamiento de punta a punta deseado en el objetivo final.
  6. A medida que avanzamos hacia nodos tecnológicos más avanzados, el patrón de las capas de metal críticas de back-end-of-line (BEOL) con pitches más agresivos, como 32 nanómetros,se vuelve extremadamente difícilPor lo general, se crean trincheras en estas capas BEOL, que luego se llenan de metal en el paso final de metalización.se añaden capas de bloqueo verticales perpendiculares a las zanjas, formando pequeños espacios metálicos de punta a punta.

    Dentro de la industria, se han considerado varias opciones para diseñar las capas y bloques BEOL más agresivos.Una opción es combinar litografía de inmersión con lo que se conoce como patrón cuadruplo autoalineado de línea de metal (SAQP)Sin embargo, esta opción requiere máscaras de bloques triples y un proceso de litografía triple, lo que aumenta el costo y la complejidad de la solución propuesta.Otra opción es utilizar directamente la litografía ultravioleta extrema (EUVL) para modelar las capas metálicas BEOL en una sola exposiciónSi bien este proceso de integración directa de EUVL es sencillo y rentable, la fidelidad (como la forma) y la variabilidad de los patrones, así como la fabricación de máscaras,se espera que sean muy desafiantes, especialmente para espacios muy pequeños de punta a punta.